Going into Earnings, is ASML Stock a Buy?

The semiconductor equipment maker's order level and the effects of Intel's problems are in focus

Javier Correonero 11 October, 2024 | 2:50PM
Facebook Twitter LinkedIn

Europe UK Main

ASML Holdings ASML will publish its Q3 results on Wednesday, Oct. 16. Here's what to watch:

1. On orders, the threshold that ASML has to meet to reach the mid-point of their 2025 guidance is not too high. Last quarter orders came at €5.6 billion, so as far as they remain in the €4 billion for this quarter and next the mid-point should be achievable. For 2025 we sit at €36.7 billion in revenue now, compared to guidance of €30-40 billion.

2. On China, a normalization of orders and revenue is expected, but still difficult to tell when exactly it will come.

3. Investors and analysts will also try to get more answers on how Intel's INTC problems can affect ASML. Intel is likely AMSL's third biggest client, and they recently postponed the opening of a fab in Germany, which is EUV-intensive. Long-term I am not very concerned, as if Intel were to have more problems and postpone or cancel fabs, someone else would grab that opportunity eventually.

4. Overall, the long term picture remains strong and I think shares offer a good buying opportunity.

Key Morningstar Metrics for ASML Stock

• Analyst: Javier Correonero
• Fair Value Estimate: €900.00
• Morningstar Rating: ★★★★
• Economic Moat: Wide
• Price/Fair Value: 0.84
• Morningstar Uncertainty Rating: High

Fair Value Estimate for ASML Stock

We raised our fair value estimate for ASML on June 5 to €900 from €790 as we increased our long-term revenue and EBIT forecasts. While our 2025 estimates remain unchanged we raised our long-term revenue forecasts due to higher confidence in ASML's long-term prospects and better certainty of high-NA EUV adoption. Our fair value represents a 2025 P/E ratio of 31.5 times.

Demand for ASML EUV and DUV equipment remains strong, with logic and memory fabs having announced new expansion projects until 2030. For 2025 our revenue forecast sits at EUR 36 billion, around the midpoint of management's guidance, and we model sales of €58 billion in 2030, compared with management's range of €44 billion-60 billion. We expect ASML will come at the high end of its long-term guidance, given its prior targets were set in 2022, prior to the adoption of artificial intelligence. Our fair value estimate represents a forward price/earnings ratio of 42 and 32 times for 2024 and 2025, respectively.

For the next decade we model a 10% compounded annual growth rate in revenue, with EBIT margins expanding from 31% in 2023 to 45% in our terminal year. The continued long-term growth in semiconductors will require existing and new fabs to keep acquiring and servicing lithography equipment. Gross margin and EBIT margin expansion will come from the operating leverage of R&D and operating expenses, and the improvement in service margins as ASML keeps focusing on improving this business segment and upselling software and services. EUV and high-NA EUV (to be launched in 2025) have an estimated service revenue/equipment revenue ratio of more than 150%, compared with DUV's 130%.

We believe ASML will continue to improve its installed base management EBIT margins (service and upgrades), which are currently dilutive to the group, overall. The service business did not get as much focus as needed in the last decade, given the company was focused on making the highly complex EUV technology a reality. We surmise service customer retention rates are virtually 100% given the complexity of lithography machines, so we believe ASML can keep upselling services, consumables, and upgrades. The upgrade part of the installed base management business is margin-accretive, although it can be cyclical as customers are sometimes not willing to stop the machines and reduce productivity to implement upgrades. The servicing segment is where ASML has more room to improve its margins.

We expect mid-single-digit growth in revenue in 2024 and 21% growth in 2025 as new fab openings in 2025 require new ASML tools. In 2025 we assume €36 billion in sales compared with management's range of €30 billion to 40 billion, while we model €58 billion in 2030, compared with management's guidance of €44 billion-60 billion. We model slightly higher R&D and SG&A intensity compared with management's long-term guidance as ASML will have to keep investing in technology and productivity to justify the high price tag of its machines. 

Economic Moat Rating for ASML Stock

We assign ASML a wide economic moat rating supported by intangible assets, cost advantages, and switching costs. ASML is the world's largest supplier of photolithography machines for semiconductors with around 90% market share. It enjoys a wide technology gap with its competitors Nikon and Canon, with large investments in research and development that should continue widening ASML's moat and act as a barrier to entry.

Intangibles come from decades of internal know-how and long-term collaboration with firms like Carl Zeiss and scientific research institutes. Switching costs come from software and servicing of the machines, as fabrication plants cannot afford unplanned downtime since this can cost millions of dollars.

ASML sells semiconductor lithography machines, which are used to print nanometer patterns in chips. Its two main product lines are DUV and EUV lithography machines. DUV has been ASML's profit engine for more than a decade now. It has been used since the early 2000s and is still widely used to manufacture chips today. ASML is the only company capable of producing EUV lithography machines, which use a light source to print chip patterns and are required to manufacture the most advanced chips used in smartphones, computers, and artificial intelligence training.

ASML's lithography machines can print patterns that are up to 30,000 times thinner than a human hair. These patterns form a highly intricate 3D puzzle, with dozens of interconnected layers that optimize computational performance, energy consumption, and heat dissipation in a chip. Moore's Law, which states the number of transistors in a chip will double every two years, is becoming more challenging to achieve. However, ASML machines keep providing new workarounds to shrink chip patterns and improve energy efficiency. 

Risk and Uncertainty

We assign ASML a High Morningstar Uncertainty Rating. ASML's machines represent a large percentage (20% to 25%) of a semiconductor foundry's capital expenditure. In most industries, customers will try to cut costs of their highest ticket items, so ASML needs to provide unique productivity and service value to its customers. ASML manages this risk through improvements in wafer-per-hour productivity and providing additional value every time it charges for a new service. As long as the firm can keep providing technological and productivity improvements to its customers, we believe this risk is under control, but the firm faces constant pressure to deliver or clients will try to reduce their dependence on lithography.

Trade tensions between US and China are another headwind for ASML. Because ASML machines contain US parts, the US has effective powers to limit ASML exports to China or any other country. Restrictions have become stricter since 2023, with ASML being unable to sell some of its immersion DUV machines. If export controls keep increasing, it will put long-term pressure on ASML's top line.

ASML's supply chain management is also critical. If a critical part supplier like Carl Zeiss had manufacturing disruption, this would create a bottleneck for ASML. Historically, ASML has displayed good supply management abilities. The cyclical nature of the semiconductor industry adds to ASML's uncertainty. ASML machines cost up to €300 million, so clients will postpone purchases in times of an economic slowdown. Customer concentration is high, with TSMC, Samsung, and Intel representing a large amount of revenue.

ASML Bulls Say 

• ASML's machines last more than 30 years, providing recurring service revenue. The switching costs and intangible assets required to displace these machines are enormous, with no competitor coming even close to ASML's technological leadership
• As lithography machines get more complex, switching costs and service revenue potential strengthen. ASML has potential to improve gross margins in the next decade.
• We expect ASML to outpace the growth of the overall semiconductor market, thanks to its strong competitive position. We expect low-double-digit annual revenue growth in the next decade. 

ASML Bears Say

• ASML sells a low-volume, high-price product. Lithography machines represent a high proportion of customer costs, so if the company fails to innovate customers will look for alternatives.
• If controls on exports to China keep worsening, ASML's growth trajectory will suffer. The firm has no effective control over this risk as it largely depends on US government decisions.
• The cyclical nature of the semiconductor industry adds to ASML's risk profile. Also, ASML depends on a limited number of suppliers for certain components, so any disruption will create bottlenecks and delays.

Subscribe to Our Newsletters

Sign up Now

The information contained within is for educational and informational purposes ONLY. It is not intended nor should it be considered an invitation or inducement to buy or sell a security or securities noted within nor should it be viewed as a communication intended to persuade or incite you to buy or sell security or securities noted within. Any commentary provided is the opinion of the author and should not be considered a personalised recommendation. The information contained within should not be a person's sole basis for making an investment decision. Please contact your financial professional before making an investment decision.

Facebook Twitter LinkedIn

Securities Mentioned in Article

Security NamePriceChange (%)Morningstar
Rating
ASML Holding NV770.70 EUR1.06Rating

About Author

Javier Correonero  is an equity analyst for Morningstar

© Copyright 2024 Morningstar, Inc. All rights reserved.

Terms of Use        Privacy Policy        Modern Slavery Statement        Cookie Settings        Disclosures